ValueBuddies.com : Value Investing Forum - Singapore, Hong Kong, U.S.

Full Version: UMS Holdings
You're currently viewing a stripped down version of our content. View the full version with proper formatting.
What competitive advantage does UMS have against the other guys?

http://www.spring.gov.sg/Industry/PE/Doc..._Guide.pdf
GlobalFoundries to upgrade Singapore fab from 8-inch to 12-inch, says senior VP

Josephine Lien, Taipei; Adam Hwang, DIGITIMES [Monday 30 June 2014]

GloablFoundries will upgrade its 8-inch fab in Singapore to a 12-inch fab mainly by having equipment moved from a 12-inch fab in Taiwan that it acquired from Taiwan-based DRAM maker ProMOS Technologies in 2013, according to corporate senior vice president and Singapore operation general manager KC Ang.

GlobalFoundries' clients are tending to shift orders for LCD driver, power management and fingerprint recognition ICs from 8-inch foundry to 12-inch foundry production, Ang pointed out.

Through the upgrade, 12-inch and 8-inch annual capacities at the fab will have 1.0 million and 1.3 million wafers respectively, accounting for 60% and 40% respectively of total foundry capacity, Ang said. In addition, foundry processes at the fab will also be upgraded from 0.11-0.13 micron to 40nm, Ang indicated.

GlobalFoundries expects capital expenditure of US$1.0 billion in 2014 and 2015, Ang said.

In addition to the Singapore fab, GlobalFoundries' fab in Dresden, Germany, has a monthly foundry capacity of 50,000 wafers mainly based on 28nm technology and its fab in New York, the US, focuses on 20nm and 14nm processes.

http://www.digitimes.com/news/a20140630PD207.html
________________________________________________________________________________________________________________

Samsung/Globalfoundries team reportedly lands 14nm orders from Qualcomm, Apple

Josephine Lien, Taipei; Steve Shen, DIGITIMES [Tuesday 1 July 2014]

The Samsung Electronics and Globalfoundries team reportedly has landed orders for its 14nm FinFET process from Qualcomm and Apple, with related foundry services to begin in early 2015, according to industry sources in Taiwan.

The 14nm products will be rolled out from Samsung's Fab 8 in New York, said the sources, adding that Fab 8 will have an installed capacity of 60,000 wafers a month for the 14nm process.

According to Samsung and Globalfoundries' roadmap, the two foundries plan to push their initial 14nm LPE (low power early) process, which was verified in February, into risk production in the fourth quarter of 2014 and small volume production in early 2015, the sources indicated.

However, it remains uncertain as how Apple would distribute its A9 processor orders to related foundry houses since Intel is also a potential contender for the orders, the sources noted.

Meanwhile, Taiwan Semiconductor Manufacturing Company (TSMC) will also exert efforts to retain Apple's orders, including the A9 orders, by advancing into 16nm FinFET processes, noted the sources, adding that TSMC also plans to roll out a 16nm FinFET Turbo process tailored to Apple's requirements.

TSMC will have a total production capacity of 900,000 and 1.3 million wafers for its 20nm and 16nm processes in 2015 and 2016, respectively, revealed the sources.

http://www.digitimes.com/news/a20140701PD201.html

(vested)
UMS is recovering slowly but steadily. Yesterday's sell-off turned out to be just trying to shake out weak hands.

I am still vested, and adding to my position.
Back in 2012, TSMC used to control close to 100% of the 28 nm chips process market - a near monopoly.

(vested)
________________________________________________________________________________________________________________

Chipset solution vendors seek 28nm capacity at UMC, Globalfoundries and SMIC

Cage Chao and Josephine Lien, Taipei; Steve Shen, DIGITIMES [Wednesday 2 July 2014]

Chipset solution vendors including Qualcomm and MediaTek have continued to seek additional 28nm capacity at United Microelectronics Corporation (UMC), Globalfoundries and, particularly, at Semiconductor Manufacturing International Corporation (SMIC) due to tight production at TSMC, according to industry sources.

Qualcomm and MediaTek have been placing 28nm wafer orders at UMC and Globalfoundries since the second half of 2013, indicated the sources. Additionally, UMC has landed more follow-up orders from the two chipset vendors recently and will ramp up its shipments starting July.

Meanwhile, Qualcomm reportedly has booked over 50% of SMIC's 28nm capacity, while MediaTek has just begun cooperating with the China-based foundry house, expecting to fabricate its dual- and quad-core solutions using 28nm process at SMIC.

Judging from Qualcomm's quarterly revenues of around US$4 billion, the US-based chipset maker needs about 150,000 28nm wafers a month, said the sources, adding that SMIC's 28m production capacity will be fully booked if Qualcomm outsources 10% of its 28nm production to the China-based foundry house.

Additional orders from MediaTek will further ramp up SMIC's total production capacity, said the sources, noting that MediaTek requires about 70,000-80,000 28nm wafers a month.

TSMC currently holds over 80% of the global 28nm process market, powered by its entry-level PolySiON process and high-end HKMG process, the sources noted.

http://www.digitimes.com/news/a20140702PD203.html

________________________________________________________________________________________________________________

JULY 1, 2014
BY IC INSIGHTS

IC Suppliers Shift Strategies to Counter Decline in Digital Still Cameras
Embedded camera apps for automotive, tablets & PCs, phones, security & surveillance keep chip sales alive.

Almost as quickly as they took over, stand-alone digital cameras are now being displaced by camera-equipped cellphones, which have improved significantly in picture-taking quality and, more importantly, can immediately send copies of electronic photos by e-mail or post them on the Internet.

The decline in compact digital still camera shipments ......................

Semiconductor suppliers stand to benefit from the migration of camera technology into a greater range of applications. The total IC market for all digital camera systems (embedded and stand-alone systems) is expected to reach $29.2 billion in 2017 compared to $23.0 billion in 2012, which represents a CAGR of 4.9%. When stand-alone cameras are excluded from these totals, IC sales for embedded cameras and imaging systems are forecast to grow by a CAGR of 11.9%, reaching $23.9 billion in 2017 compared to $13.6 billion in 2012.

http://www.icinsights.com/data/articles/...ts/691.pdf
(02-07-2014, 02:49 PM)twsoon Wrote: [ -> ]UMS is recovering slowly but steadily. Yesterday's sell-off turned out to be just trying to shake out weak hands.

I am still vested, and adding to my position.

You are brave, stock market rewards the brave whom believes in the fundamental, I wish you all the best!
I like the "simple bookings model.”

(vested)
________________________________________________________________________________________________________________
Mid-Year Update: Positive Figures Year-to-Date; Growth Forecasted for 2014

By Dan Tracy, Industry Research and Statistics, SEMI


2014 is off to a positive start. The WSTS/SIA reports strong IC unit shipment growth through April; and both the silicon and leadframe unit data collected here through SEMI show solid year-over-year growth comparisons as well...........................................

http://www.semi.org/en/node/50311?id=sgurow0714
Qualcomm turns to China's SMIC for Snapdragon chip production

By Noel Randewich, SAN FRANCISCO Thu Jul 3, 2014

(Reuters) - Qualcomm, which makes mobile chips, said on Thursday that Chinese contract manufacturer SMIC would produce its Snapdragon processors.

The agreement with SMIC suggests Qualcomm aims to shore up capacity for future demand but it could also improve Qualcomm's relationship with the government in China, a key growth market where the U.S. chipmaker has been under antitrust scrutiny.

Qualcomm said in a news release it is working in China with SMIC, or Semiconductor Manufacturing International Corp, on 28 nanometer manufacturing technology used to make its Snapdragon chips, which are used widely in smartphones.

SMIC has previously made power management and other chips on behalf of Qualcomm but the Snapdragon agreement signifies a technological step up in the two companies' relationship.

Qualcomm is a major customer of Taiwan Semiconductor Manufacturing Co Ltd, the world's leading contract chip manufacturer. In 2012, a slower-than-expected launch of 28 nm production at TSMC affected the supply of chips to customers including Qualcomm and Nvidia.

"You’re going to have an iPhone launch, then holiday selling season. There could be a pretty substantial increase in wafers for back half (of 2014), said FBR analyst Chris Rolland.

SMIC is the world's fifth largest contract chipmaker, according to market research firm IC Insights, and it trails TSMC in technology.

China's anti-monopoly regulator has accused Qualcomm of overcharging and abusing its market position, and the chipmaker could face a fine more than $1 billion.

Helping SMIC with 28 nm production will mean a boost to China's semiconductor industry and could help SMIC offer similar services to customers beyond Qualcomm.

The Chinese government has placed enormous emphasis on moving the world's second-largest economy up the technology value chain in areas such as semiconductors.

TSMC is now ramping up newer 20 nm manufacturing technology, allowing for increased power and efficiency by packing more transistors onto each chip.

http://www.reuters.com/article/2014/07/0...5Y20140703

________________________________________________________________________________________________________________

Critically Needed Wafer Processing Innovation at SEMIcon West 2014

As EUV and 450mm roadmaps change, wafer processing redoubles innovation to catch up


SAN JOSE, Calif. — July 3, 2014 — Innovation in device architecture and process technology is a critical priority for the semiconductor manufacturing industry in light of the complexity, cost and uncertain timing of availability for the introduction of 450mm wafers and next-generation lithography. Consequently, the semiconductor equipment and materials industry is urgently pursuing a range of alternative microelectronics manufacturing technologies including finFETs, 3D-IC, and multiple patterning lithography. These and other wafer processing innovations to maintain the pace of Moore’s Law are being highlighted at SEMIcon West 2014 to be held July 8-10 at the Moscone Center in San Francisco.

Twelve sessions will address the key issues in wafer processing — including roadblocks and breakthroughs — surrounding the development and adoption of new technologies in wafer processing including: New Device Architectures, EUV Technologies, Advanced Lithography, Nano Defect Detection and Metrology, Atomic Layer Deposition (ALD), and Advanced Components and Subsystems. Of special interest to many, updates on the current status of EUV and 450mm wafer progress will be included in these programs.

The lineup for Wafer Processing sessions at SEMIcon West 2014 includes:

Tuesday July 8
•STS Session: Challenges, Innovations and Drivers in Metrology
•STS Yield Session: Defectivity & Process Variability
•Variability Control – A Key Challenge and Opportunity

Wednesday July 9
•Sokudo Lithography Breakfast 2014
•STS Session: Getting to 5nm Devices: Evolutionary Scaling to Disruptive Scaling and Beyond
•Subcomponent Supply Chain Challenges for 10nm and Beyond
•Bringing Silicon Photonics to Market
•Productivity Solutions for 300mm and Smaller
•STS Session: Readiness of Advanced Lithography Technologies for HVM
•Wafer Geometry Control for Advanced Semiconductor Manufacturing

Thursday July 10
•Entegris Yield Breakfast Forum 2014
•STS Session: 450mm Technology Development Update
•STS Session: Breakthrough High Volume Manufacturing Innovations

SEMIcon West 2014 will feature about 700+ exhibiting companies, representing 24 countries, and is the largest and most influential event of its kind in North America.

Premier sponsors of SEMIcon West are: Applied Materials, KLA-Tencor, and Lam Research.

For more information on Wafer Processing sessions at SEMIcon West, visit www.semiconwest.org/node/6396. For more information on SEMIcon West, and to register, visit www.semiconwest.org.

http://www.semi.org/en/node/50421?id=highlights

(vested)
Global Semiconductor Sales Increase Across All Regions in May

Americas show strongest year-over-year growth; global sales remain well ahead of 2013 pace

Published Monday, July 7, 2014 8:00 am , by Dan Rosso

WASHINGTON—July 7, 2014—The Semiconductor Industry Association (SIA), representing U.S. leadership in semiconductor manufacturing and design, today announced that worldwide sales of semiconductors reached $26.86 billion for the month of May 2014, 8.8 percent higher than the May 2013 total of $24.69 billion and 2.0 percent more than last month’s total of $26.34 billion. Sales in the Americas increased 10.6 percent year-over-year in May, marking the region’s twelfth consecutive month of double-digit growth. All monthly sales numbers are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average.

“The global semiconductor market posted healthy growth throughout all regions in May, led by continued strength in the Americas,” said Brian Toohey, president and CEO, Semiconductor Industry Association. “Year-over-year sales increased across nearly all semiconductor product categories, with DRAM and analog products leading the way. Overall, the global market has posted growth each month for over a year, and sales are expected to increase for the foreseeable future.”

Year-over-year and month-over-month sales increased across all regions for the first time since August 2010. Sequential monthly sales were up 2.1 percent in Asia Pacific, 2.1 percent in Europe, 1.8 percent in the Americas, and 1.6 percent in Japan. Compared to May 2013, sales increased in the Americas (10.6 percent), Europe (10.1 percent), Asia Pacific (8.6 percent), and Japan (5.3 percent). Japan posted three straight months of year-to-year growth for the first time in nearly two years.

May 2014 chart and graph
http://www.semiconductors.org/clientuplo...elease.pdf

http://www.semiconductors.org/news/2014/...ns_in_may/

(vested)
Applied Materials and Tokyo Electron Unveil New Company Name - Eteris

Eteris™ to be focused on creating and enabling technology innovations that improve the way people live

SAN FRANCISCO and TOKYO, July 7, 2014 - Applied Materials, Inc. (NASDAQ: AMAT) and Tokyo Electron Limited (TSE: 8035) today unveiled the new name and logo of their combined company which will be used once the merger closes. Derived from the concept of eternal innovation for society, Eteris™ [pronounced: eh-TAIR-iss] embodies the spirit of what will drive the new company and speaks to what makes the combination unique.

"The new name for our combined company builds on the strong legacies of Applied Materials and Tokyo Electron, creating something even greater than the sum of the two," said Tetsuro Higashi, chairman, president and CEO of Tokyo Electron. "At the time we announced our plans to merge, we said this was a bold step forward for our industry. The name Eteris demonstrates our commitment to a new and exciting future for our company to create and enable technology innovations that improve the way people live."

"Eteris is innovative and forward-looking and our logo symbolizes expanding future opportunities driving a new era of innovation and growth," said Gary Dickerson, president and CEO of Applied Materials. "With a new name, mission and vision, we are bringing our new company into focus so that we can move quickly, execute our combined strategy and begin to create value as soon as the merger closes."

Eteris captures the company's focus on innovations that will enable its customers and move the industry forward. Core to Eteris is the promise to leave a positive and lasting impact on the world. Paired with the name is a bold logo that celebrates Eteris' role in realizing the incredible possibility of technology. At the heart of the mark, the bright green square symbolizes the energy of the new company, the power of its technology and the foundation of innovation it provides to enable customer success. From the green foundation, bright colors and new dimensions expand, representing the many innovations Eteris will make possible every day. The logo represents expanding future opportunities that drive new innovation and growth.

The unveiling of the new company's name and logo are the latest milestones in the merger's progress. Last month the stockholders of Applied Materials and Tokyo Electron declared strong support for the combination. Approximately 99% of the shares voting at the Applied Materials stockholder meeting and 95% of the shares voting at the Tokyo Electron stockholder meeting voted to adopt the proposed business combination. These results underscore the value the combination brings to stockholders.

The closing of the business combination remains subject to customary conditions set forth in the parties' Business Combination Agreement, including review by regulators in various countries. Applied Materials and Tokyo Electron expect the transaction to close in the second half of 2014.

http://phoenix.corporate-ir.net/phoenix....&highlight=

(vested)
SEMI Forecasts Back-to-Back Years of Double-Digit Growth in Chip Equipment Spending

SAN FRANCISCO, Calif. — July 7, 2014 — SEMI projects back-to-back years of double-digit growth in worldwide semiconductor equipment sales according to the mid-year edition of the SEMI Capital Equipment Forecast, released here today at the annual SEMIcon West exposition. The SEMI outlook calls for the total semiconductor equipment market to grow 20.8 percent in 2014 to reach $38.4 billion and to expand another 10.8 percent in 2015 to exceed $42.6 billion.

Following two years of spending declines, key drivers for equipment spending are investments by foundry and logic fabs for sub 20nm technology, NAND flash makers for leading edge technology (including 3D NAND) and capacity, DRAM technology upgrades for mobile applications, and expansion of advanced packaging capacity for flip chip, wafer bumping, and wafer-level packaging. All regions of the world are projected to see equipment spending increases in 2015. Front-end wafer processing equipment is forecast to grow 11.9 percent in 2015 to $34.8 billion, up from $31.1 billion in 2014. Test equipment and assembly and packaging equipment is forecast to experience growth next year, rising to $3.1 billion (+1.6 percent) and $2.6 billion (+1.2 percent), respectively. The forecast indicates that next year is on track to be the second largest spending year ever, surpassed only by $47.7 billion spent in 2000.

"Mobility and interconnectivity require leading-edge process technologies, both at the fab level and in packaging, and are key factors for growth in equipment spending,” said Denny McGuirk, president and CEO of SEMI. “We expect capital spending to increase throughout the remainder of 2014 and into 2015.”

Growth is forecast in all regions except ROW in 2014 and all regions in 2015. Taiwan is forecast to continue to be the world’s largest spender with $11.6 billion estimated for 2014 and $12.3 billion for 2015. In 2014, North America is second at $7.2 billion, followed by South Korea at $6.9 billion. For 2015, South Korea is in second ($8.0 billion) in spending, followed by North America ($7.3 billion).

In 2014, year-over-year increases are expected to be largest for China (47.3 percent), North America (35.7 percent), South Korea (33.0 percent), and Europe (29.7 percent). Year-over-year percentage increases for 2015 are largest for Europe (47.8 percent increase), ROW (23.5 percent), Japan (15.6 percent), and South Korea (15.0 percent).

The following results are given in terms of market size in billions of U.S. dollars and percentage growth over the prior year.......................

http://www.semi.org/en/node/50436?id=highlights
________________________________________________________________________________________________________________

Egham, UK, July 7, 2014

Gartner Says Worldwide Traditional PC, Tablet, Ultramobile and Mobile Phone Shipments to Grow 4.2 Percent in 2014

Worldwide PC Market to Show Relative Revival in 2014 after Falling 9.5 Percent in 2013

Worldwide Tablet Market to Slow Down in 2014 and Reach 256 Million Units

Worldwide combined shipments of devices (PCs, tablets, ultramobiles and mobile phones) are projected to reach 2.4 billion units in 2014, a 4.2 percent increase from 2013 (see Table 1), according to Gartner, Inc.

"2014 will be marked by a relative revival of the global PC market," said Ranjit Atwal, research director at Gartner. After declining 9.5 percent in 2013, the global PC market (desk-based, notebook and premium ultramobile) is on pace to contract only 2.9 percent in 2014.

"Business upgrades from Windows XP and the general business replacement cycle will lessen the downward trend, especially in Western Europe," said Mr. Atwal. "This year, we anticipate nearly 60 million professional PC replacements in mature markets." The traditional PC market (desk-based and notebook) will follow the same downward trend and is on pace to contract 6.7 percent in 2014 and 5.3 percent in 2015.................................................................

http://www.gartner.com/newsroom/id/2791017
________________________________________________________________________________________________________________
7 July 2014

'Remote control' contraceptive chip available 'by 2018'

By Dave Lee, Technology reporter, BBC News

A contraceptive computer chip that can be controlled by remote control has been developed in Massachusetts.

The chip is implanted under a woman's skin, releasing a small dose of levonorgestrel, a hormone.

This will happen every day for 16 years, but can be stopped at any time by using a wireless remote control.

The project has been backed by Bill Gates, and will be submitted for pre-clinical testing in the US next year - and possibly go on sale by 2018.

The device measures 20mm x 20mm x 7mm and will be "competitively priced", its creators said.

Convenience factor

Tiny reservoirs of the hormone are stored on a 1.5cm-wide microchip within the device.

A small electric charge melts an ultra-thin seal around the levonorgestrel, releasing the 30 microgram dose into the body.

There are other types of contraceptive implant available, the researchers noted, but all require a trip to a clinic and an outpatient procedure in order to be deactivated.

"The ability to turn the device on and off provides a certain convenience factor for those who are planning their family," said Dr Robert Farra from MIT.

The next challenge for the team is to ensure the absolute security of the device to prevent activation or deactivation by another person without the woman's knowledge.

"Communication with the implant has to occur at skin contact level distance," said Dr Farra.

"Someone across the room cannot re-programme your implant.

"Then we have secure encryption. That prevents someone from trying to interpret or intervene between the communications."

Huge range

The same technology could be used to administer other drugs.

Simon Karger, head of the surgical and interventional business at Cambridge Consultants, said that implanted technology like this faces a range of challenges and risks.

But he added that overall "the value to the patient of these types of implant can be huge and we foresee a future in which a huge range of conditions are treated through smart implanted systems".

The innovation comes at a time when governments and organisations around the world have agreed to try to bring family planning to around 120 million more women by 2020.

This challenge opens the door to this kind of implant technology being used in areas where access to traditional contraceptives is limited - a bigger priority, argued Gavin Corley, a biomedical engineer.

"That's a humanitarian application as opposed to satisfying a first-world need," he told the BBC.

http://www.bbc.com/news/technology-28193720

(vested)